Apple IIe HAL equations in vhdl

4 posts / 0 new
Last post
Offline
Last seen: 4 years 4 months ago
Joined: Mar 20 2014 - 15:43
Posts: 75
Apple IIe HAL equations in vhdl

I found a file containing the equation for the Apple IIe HAL.

it is in a language I dont understand

do you know if the same file exist in vhdl ?
or is there any information on how to read the file (this is a small extract)

Pin #
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
14M 7M CREF H0 VID7 SEGB GR' CASEN' 80COL' GND ENTMG' LDPS' VID7M PHASE_1 PHASE_0 Q3' CAS' AX RAS' VCC

/RAS':=Q3'
+7M*/RAS'*/PHASE_0
+/7M*/AX*PHASE_0
+7M*CREF*AX*H0*PHASE_0
+/7M*/CREF*AX*H0*PHASE_0

apparently it's just simple equation using just not, and, or...

there is this description

DESCRIPTION:
'=not (inverted)
+=or (# in CUPL)
*=and (& in CUPL)
/=low (! in CUPL)

but it's not so clear, for example the signal on pin 19 is ras' but the the part of the equation is /ras

apparently it is easy to deduce the and / or but with the /signal signal' signal
the not seems comfusing

any idea ?

speedyG's picture
Offline
Last seen: 4 years 10 months ago
Joined: Nov 16 2011 - 07:45
Posts: 2493
Re: Apple IIe HAL equations in vhdl

Hello dvador,
the language used in that days was called PALASM.
It´s i variation of an Assembly language.
I have a PAL programmer from that days :
PAL Programmer from Nuclear.
http://mirrors.apple2.org.za/Apple%20II%20Documentation%20Project/Interface%20Cards/Chip%20Programmers/PAL%20Programmer/Photos/
If Needed you can download the german manual and the diskimages of the card from:
http://www.appleii-box.de/003_appleboxdownload.htm
the card is listed in the lower part of the page.
But that´s useless to you, unless you don´t have the programmer card itself.
speedyG

Offline
Last seen: 4 years 4 months ago
Joined: Mar 20 2014 - 15:43
Posts: 75
Re: Apple IIe HAL equations in vhdl

thanks nice information, now that I know that it's palasm code I can ask an old friend Smile

btw: your web site is really nice

speedyG's picture
Offline
Last seen: 4 years 10 months ago
Joined: Nov 16 2011 - 07:45
Posts: 2493
Re: Apple IIe HAL equations in vhdl

hello dvador,

in the current moment i´m getting back to the equations of PALchips.
Due to research if any modern tools are availiable, i discovered that there are some new tools availiable including simulation tools.

For use with windows computer you may use gdswin.
the tool ( also as demoversion ) is with aditional software availiable at :www.sh-elektronik.de

With some search you might also discover a emulation tool written in python, that may be used on Linux Computer with Python installed.
The name of the emulation: galemu-0.3

And another tool for use with equations and conversion between different standard formats of notation jedec = equation and reverse
has the name opaljr21.zip

And a very simple tool for use with GALs ( that are the rewritable versions of PALs has the name fgal.

hope this info´s give you an entry to your task.
sincerely speedyG

Log in or register to post comments